courses:system_design:vhdl_language_and_syntax:sequential_statements:variables

Fundamentals

  • Name within process declarations
  • Known only in this process
  • Immediate assignment
  • Keep the last value
  • Signal to variable
  • Variable to signal
  • Types have to match

Variables can only be defined in a process and they are only accessible within this process.

Variables and signals show a fundamentally different behavior. In a process, the last signal assignment to a signal is carried out when the process execution is suspended. Value assignments to variables, however, are carried out immediately. To distinguish between a signal and a variable assignment different symbols are used: ’⇐’ indicates a signal assignment and ’:=’ indicates a variable assignment.

Variables vs. Signals

A,B,C: integer; signal Y, Z : integer;   begin process (A,B,C) variable M, N: integer; begin M := A; N := B; Z <= M + N; M := C; Y <= M + N; end process; A,B,C: integer; signal Y, Z : integer; signal M, N : integer; begin process (A,B,C,M,N)     begin M <= A; N <= B; Z <= M + N; M <= C; Y <= M + N; end process;
  • Signal values are assigned after the process execution
  • Only the last signal assignment is carried out
  • M ⇐ A; is overwritten by M ⇐ C;
  • The 2nd adder input is connected to C

The two processes shown in the example implement different behavior as both outputs Z and Y will be set to the result of B+C when signals are used instead of variables.

Please note that the intermediate signals have to added to the sensitivity list, as they are read during process execution.

Use of Variables

  • signal to variable assignment
  • execution of algorithm
  • variable to signal assignments
  • no access to variable values outside their process
  • variables store their value until the next process call

Variables are especially suited for the implementation of algorithms. Usually, the signal values are copied into variables before the algorithm is carried out.

The result is assigned to a signal again afterwards.

Variables keep their value from one process call to the next, i.e. if a variable is read before a value has been assigned, the variable will have to show storage behavior. That means it will have to be synthesized to a latch or flip flop respectively.

Variables: Example

  • Parity calculation
  • Synthesis result:

In the example a further difference between signals and variables is shown. While a (scalar) signal can always be associated with a line, this is not valid for variables. In the example the for loop is executed four times. Each time the variable TMP describes a different line of the resulting hardware. The different lines are the outputs of the corresponding XOR gates.

Shared Variables (VHDL’93)

  • Accessible by all processes of an architecture (shared variables)
  • Can introduce non determinism

In VHDL 93, global variables are allowed.

These variables are not only visible within a process but within the entire architecture.

The problem may occur, that two processes assign a different value to a global variable at the same time. It is not clear then, which of these processes assigns the value to the variable last.

This can lead to a non deterministic behavior!

In synthesizable VHDL code global variables must not be used.

Chapters of System Design > VHDL Language and Syntax > Sequential Statements

  • Sequential Statements
  • IF Statement
  • CASE Statement
  • WAIT Statement

Chapters of System Design > VHDL Language and Syntax

  • General Issues
  • VHDL Structural Elements
  • Process Execution
  • Extended Data Types
  • Subprograms
  • Subprogram Declaration and Overloading
  • Concurrent Statements

vhdl variable assignment in process

  • Network Sites:
  • Technical Articles
  • Market Insights

All About Circuits

  • Or sign in with
  • iHeartRadio

All About Circuits

The Variable: A Valuable Object in Sequential VHDL

Join our engineering community sign-in with:.

This article will discuss the important features of variables in VHDL.

The previous article in this series discussed that sequential statements allow us to describe a digital system in a more intuitive way. Variables are useful objects that can further facilitate the behavioral description of a circuit. This article will discuss the important features of variables. Several examples will be discussed to clarify the differences between variables and signals. Let’s first review VHDL signals.

Multiple Assignments to a Signal

VHDL uses signals to represent the circuit interconnects or wires. For example, consider the circuit in Figure 1.

vhdl variable assignment in process

The architecture of the VHDL code for this circuit is

As you can see, a signal has a clear mapping into hardware: it becomes a (group of) wire(s). Does it make sense to have multiple assignments to a signal? For example, consider the following code section:

If these two assignments are in the concurrent part of the code, then they are executed simultaneously. We can consider the equivalent hardware of the above code as shown in Figure 2.

vhdl variable assignment in process

Figure 2 suggests that multiple assignments to a signal in the concurrent part of the code is not a good idea because there can be a conflict between these assignments. For example, if A=C=0 and B=D=1, the first line would assign sig1 = (0 and 1) =0, while the second would attempt to assign sig1 = (0 or 1) = 1. That’s why, in the concurrent part of the code, VHDL doesn’t allow multiple assignments to a signal. What if these two assignments were in the sequential part of the code? A compiler may accept multiple assignments inside a process but, even in this case, only the last assignment will survive and the previous ones will be ignored. To explain this, note that a process can be thought of as a black box whose inner operation may be given by some abstract behaviour description. This description uses sequential statements. The connection between the process black box and the outside world is achieved through the signals. The process may read the value of these signals or assign a value to them. So VHDL uses signals to connect the sequential part of the code to the concurrent domain. Since a signal is connected to the concurrent domain of the code, it doesn’t make sense to assign multiple values to the same signal. That’s why, when facing multiple assignments to a signal, VHDL considers only the last assignment as the valid assignment.

Updating the Value of a Signal

The black box interpretation of a process reveals another important property of a signal assignment inside a process: When we assign a value to a signal inside a process, the new value of the signal won’t be available immediately. The value of the signal will be updated only after the conclusion of the current process run. The following example further clarifies this point. This example uses the VHDL “if” statements. Please note that we’ll see more examples of this statement in future articles; however, since it is similar to the conditional structures of other programming languages, the following code should be readily understood. You can find a brief description of this statement in a previous article.

Example: Write the VHDL code for a counter which counts from 0 to 5.

One possible VHDL description is given below:

In this example, sig1 is defined as a signal of type integer in the declarative part of the architecture. With each rising edge of clk, the value of the signal sig1 will increase by one. When sig1 reaches 6, the condition of the “if” statement in line 14 will be evaluated as true and sig1 will take the value zero. So it seems that sig1 , whose value is eventually passed to the output port out1 , will always take the values in the range 0 to 5. In other words, it seems that the “if” statement of line 14 will never let sig1 take the value 6. Let’s examine the operation of the code more closely.

Assume that a previous run of the process sets sig1 to 5. With the next rising edge of clk , the statements inside the “if” statement of line 12 will be executed. Line 13 will add one to the current value of sig1, which is 5, and assign the result to sig1 . Hence, the new value of sig1 will be 6; however, we should note that the value of the signal sig1 will be updated only after the conclusion of the current process run. As a result, in this run of the process, the condition of the “if” statement in line 14 will be evaluated as false and the corresponding “then” branch will be bypassed. Reaching the end of the process body, the value of sig1 will be updated to 6. While we intended sig1 to be in the range 0 to 5, it can take the value 6!

Similarly, at the next rising edge of clk, line 13 will assign 7 to sig1 . However, the signal value update will be postponed until we reach the end of the process body. In this run of the process, the condition of the “if” statement in line 14 returns true and, hence, line 15 will set sig1 to zero. As you see, in this run of the process, there are two assignments to the same signal. Based on the discussion of the previous section, only the last assignment will take effect, i.e. the new value of sig1 will be zero. Reaching the end of this process run, sig1 will take this new value. As you see, sig1 will take the values in the range from 0 to 6 rather than from 0 to 5! You can verify this in the following ISE simulation of the code.

vhdl variable assignment in process

Hence, when using signals inside a process, we should note that the new value of a signal will be available at the end of the current run of the process. Not paying attention to this property is a common source of mistake particularly for those who are new to VHDL.

To summarize our discussion so far, a signal models the circuit interconnections. If we assign multiple values to a signal inside a process, only the last assignment will be considered. Moreover, the assigned value will be available at the end of the process run and the updates are not immediate.

Variable: Another Useful VHDL Object

As discussed in a previous article, sequential statements allow us to have an algorithmic description of a circuit. The code of such descriptions is somehow similar to the code written by a computer programming language. In computer programming, “variables” are used to store information to be referenced and used by programs. With variables, we can more easily describe an algorithm when writing a computer program. That’s why, in addition to signals, VHDL allows us to use variables inside a process. While both signals and variables can be used to represent a value, they have several differences. A variable is not necessarily mapped into a single interconnection. Besides, we can assign several values to a variable and the new value update is immediate. In the rest of the article, we will explain these properties in more detail.

Before proceeding, note that variables can be declared only in a sequential unit such as a process (the only exception is a “shared” variable which is not discussed in this article). To get more comfortable with VHDL variables, consider the following code segment which defines variable var1 .

Similar to a signal, a variable can be of any data type (see the previous articles in this series to learn more about different data types). However, variables are local to a process. They are used to store the intermediate values and cannot be accessed outside of the process. Moreover, as shown by line 4 of the above code, the assignment to a variable uses the “:=” notation, whereas, the signal assignment uses “<=”.

Multiple Assignments to a Variable

Consider the following code. In this case, a variable, var1 , of type std_logic is defined. Then in lines 12, 13, and 14, three values are assigned to this variable.

Figure 4 shows the RTL schematic of the above code which is generated by Xilinx ISE.

vhdl variable assignment in process

It’s easy to verify that the produced schematic matches the behavior described in the process; however, this example shows that mapping variables into the hardware is somehow more complicated than that of signals. This is due to the fact that the sequential statements describe the behavior of a circuit.  As you can see, in this example, each variable assignment operation of lines 13 and 14 have created a different wire though both of these two assignments use the same variable name, i.e. var1 .

Updating the Value of a Variable

Variables are updated immediately. To examine this, we’ll modify the code of the above counter and use a variable instead of a signal. The code is given below:

Since the new value of a variable is immediately available, the output will be in the range 0 to 5. This is shown in the following ISE simulation result.

vhdl variable assignment in process

  • A signal models the circuit interconnections. If we assign multiple values to a signal inside a process, only the last assignment will be considered. Moreover, the assigned value will be available at the end of the current process run and the updates are not immediate.
  • A single variable can produce several circuit interconnections.
  • We can assign multiple values to the same variable and the assigned new values will take effect immediately.
  • Similar to a signal, a variable can be of any data type.
  • Variables are local to a process. They are used to store the intermediate values and cannot be accessed outside of the process.
  • The assignment to a variable uses the “:=” notation, whereas, the signal assignment uses “<=”.

To see a complete list of my articles, please visit this page .

Related Content

  • Explainer Video: Moving Object Simulation
  • Reducing Distortion in Tape Recordings with Hysteresis in SPICE
  • Test & Measurement in Quantum Computing
  • Sequential VHDL: If and Case Statements
  • Introduction to Sequential VHDL Statements
  • What is Design Rule Checking in PCB Design?

Learn More About:

  • programmable logic
  • sequential vhdl
  • vhdl variable

vhdl variable assignment in process

You May Also Like

vhdl variable assignment in process

How Does a Diode and LED Work?

In Partnership with Autodesk

vhdl variable assignment in process

Overcoming the Challenges of Verifying Multi-Die Systems

by Synopsys

vhdl variable assignment in process

SureCore Balances Power and Cooling Costs With Cryogenic Memory

by Arjun Nijhawan

vhdl variable assignment in process

Using Clever Techniques to Convert a Passive Audio Filter Into an Active Filter

by John Woodgate

vhdl variable assignment in process

New Sensors Target Smartphones, Industrial, and Automotive Designs

All About Circuits

Welcome Back

Don't have an AAC account? Create one now .

Forgot your password? Click here .

All About Circuits Logo

GitHub

Variables – VHDL Example

Variables in VHDL act similarly to variables in C. Their value is valid at the exact location in the code where the variable is modified. Therefore, if a signal uses the value of the variable before the assignment , it will have the old variable value. If a signal uses the value of the variable after the assignment it will have the new variable value.

Rules of Variables:

  • Variables can only be used inside processes
  • Any variable that is created in one process cannot be used in another process
  • Variables need to be defined after the keyword process but before the keyword begin
  • Variables are assigned using the := assignment symbol
  • Variables that are assigned immediately take the value of the assignment

The most important thing to understand is that variables immediately take the value of their assignment. Here’s an example that shows one useful way to use variables: storing data for temporary use . It uses a case statement and the ampersand (&) concatenation operator .

In the previous example, we concatenated the two signals so that they could be used in the case statement. The variable v_Choices was immediately available for use as soon as it was assigned. Let’s look at another example. The example below is more comprehensive and demonstrates how variables immediately take the value of their assignment. The signals r_Var_Copy1 and r_Var_Copy2 appear to be the same, but r_Var_Copy2 is never able to get to 5 before it is reset.

In order to simulate our design, we need to create a testbench . Also, variables can be a bit tricky to display in simulation. If you are using Modelsim, read more about how to see your variables in Modelsim’s waveform window .

The example above demonstrates how variables act differently from signals. The signals r_Var_Copy1 and r_Var_Copy2 appear to be the same, but r_Var_Copy2 is never able to get to 5 before it is reset.

Read more about how variables and signals are different

Learn Verilog

Leave A Comment Cancel reply

Save my name, email, and website in this browser for the next time I comment.

Chapter 4 - Behavioral Descriptions

Section 2 - using variables.

Declaration ---- used in ----> Process
Procedure
Function
Syntax
Rules and Examples
A Variable may be given an explicit initial value when it is declared. If a variable is not given an explicit value, it's default value will be the leftmost value ( ) of its declared type.
Variables within subprograms (functions and procedures) are initialised each time the subprogram is called:
Variables in processes, except for "FOR LOOP" variables, receive their initial values at the start of the simulation time (time = 0 ns)
Synthesis Issues
Variables are supported for synthesis, providing they are of a type acceptable to the logic synthesis tool.

In a "clocked process", each variable which has its value read before it has had an assignment to it will be synthesised as the output of a register.

In a "combinational process", reading a variable before it has had an assignment may cause a latch to be synthesised.

Variables declared in a subprogram are synthesised as combinational logic.

Whats New in '93

In VHDL -93, shared variables may be declared within an architecture, block, generate statement, or package:

VHDLwhiz

Using variables for registers or memory in VHDL

Variables used as registers in VHDL

One question that I’ve debated many times over the years is whether it’s OK to use variables for registers in VHDL. It’s safe to say that newbies are more likely to do it than experienced VHDL designers. But is there any merit to that, or is it just a matter of preference?

In this blog post, I will try to shed some light on the issue so that you can make an informed decision about using this design practice.

First of all, let me explain what I mean by using a variable as a register.

If you read a variable in a VHDL process before you write to it, the synthesis tool will have to implement it using physical storage. That’s because its value has to be stored somewhere until the next time the process wakes up. In FPGAs, that means either registers (flip-flops) or memory (block RAM).

The code below shows an example process where my_var is not a register. The logic doesn’t rely on any previous value of the variable. We give it a default value of ‘0’ as soon as we enter the process.

If we comment out the default assignment to my_var , as shown in the example below, it becomes a register. That’s because if some_condition is false, my_signal gets whatever value my_var had the last time the process completed. You are telling the synthesis tool to remember the value of my_var over time, and the only way to do that is by using a register.

It’s perfectly legal to use variables like that in VHDL. Also, the FPGA tools won’t have any trouble implementing it most of the time. Still, it’s a design practice that’s frowned upon by many FPGA engineers. Some companies even prohibit such use of variables through their coding standards. Let’s have a look at an example and examine the pros and cons of using variables over signals.

Example using a variable to infer block RAM

In this example, I’ve created a VHDL process for inferring a dual-port RAM. The width and depth match a configuration of the Xilinx RAMB36E1 primitive, as shown on page 30 of the 7 Series FPGAs Memory Resources user guide. The code below shows the VHDL process. We store the values in the ram_v object, which is a regular variable.

When we synthesize the code in Xilinx Vivado, we see that it has indeed implemented ram_v in block RAM. Below is an excerpt from the synthesis log, showing the variable’s name mapped to a RAMB36 primitive.

Variables used for limiting the scope

A possible advantage of using a variable is that its scope is limited to within the process. Along with the variable, we can also place the type declaration of the array inside of the process. Limiting the scope of data objects is generally considered to be a good coding practice. Keeping all the constructs that “belong” to the process within it helps to refine the process as a separate design unit.

There are ways of creating limited scopes for signals as well, for example, by using the VHDL block statement . But as you can see from the example below, it adds more code lines and another indentation level to your VHDL file. I have to give a small victory to variables when it comes to encapsulation.

Line ordering matters with variables

A thing to be aware of when using variables is that the ordering of the lines matter. If we swap lines 7 and 8 in the original DUAL_PORT_RAM process, it’s broken. That’s not the case if we swap lines 12 and 13 in the code above. With signals, only which enclosure they are within matters, while with variables, the correct ordering of code lines is crucial.

Refer to my earlier blog post to understand the general difference between signals and variables: How a signal is different from a variable in VHDL

That’s one of the main objections many VHDL designers have against variables used for registers. Some engineers are accustomed to reading the code within an enclosure, like an if-statement, as parallel events. By using both variables and signals interchangeably, it becomes harder to follow the program flow. The code becomes less readable because your mind has to comprehend two constructs with different sets of rules, describing the same thing.

Of course, the arguments about readability is a subjective one. Furthermore, if you expect only signals to describe registers, you may be more inclined to overlook a variable that does the same. But if you use variables for that regularly, it may not be that big an issue for you.

Viewing variables in the ModelSim waveform

When using variables for data storage, you may want to track the value over time in the simulator. Most simulators treat variables different from signals in many ways. In ModelSim, they are not immediately accessible from the Objects window. It’s something to be aware of, but it’s a minor problem.

The video above shows how you can add a variable to the waveform in ModelSim. Bring up the Locals window by choosing View→Locals from the main menu. Select the process that contains the variable, and it will appear under Locals . Right-click the variable and add select Add Wave , just as you would with a signal.

Final thoughts

Before writing this article, I posted a question in my private Facebook group to see what other FPGA engineers had to say about using variables for storage. As I expected, most dislike using variables in this way in RTL modules. The consensus is that they are confusing to work with when they assume the same role as signals: to act as registers.

One user wrote that there’s a higher risk of creating latches with variables than signals. I’ve heard that statement before, and I tried to construct an example to demonstrate the problem, but I couldn’t. I wasn’t able to find any situations where a variable causes a latch while a signal doesn’t. Of course, that doesn’t mean that it can’t happen. But we will have to leave that statement unconfirmed at the moment.

Leave a comment if you have such an example!

Finally, a user whose first name is Ray sums up nicely what I think is the most significate argument against variables. He says:

My problem with this is that you are now relying on the synthesis tool to do “the right thing” rather than simply writing code that makes it do the right thing. I would only use variables in processes that are implementing some combinatorial logic. Then I’d register that output in a separate clocked process with its own reset.

And I have to agree on that. It’s better to be explicit in VHDL. If you want a register, it’s safest to use a signal.

' src=

I’m from Norway, but I live in Bangkok, Thailand. Before I started VHDLwhiz, I worked as an FPGA engineer in the defense industry. I earned my master’s degree in informatics at the University of Oslo.

Similar Posts

What it’s like working as an FPGA engineer in the defense industry

What it’s like working as an FPGA engineer in the defense industry

FPGA engineers are in high demand throughout the world’s defense industry. Military technology has extreme requirements for reliability and efficiency, things that can be provided by an FPGA. As an FPGA developer, you will always be working for companies with particular needs, because FPGA development is expensive and difficult. The arms industry has both the…

What’s new in VHDL-2019

What’s new in VHDL-2019

The VHDL Analysis and Standardization Group (VASG), has been working for quite some time on finishing the draft for the upcoming VHDL-2019 revision of the language. The ballot has been held, and a list of approved changes has emerged. What’s left before this becomes the latest revision of the VHDL language is for the draft…

How to check if a vector is all zeros or ones

How to check if a vector is all zeros or ones

I know that I have googled this at least a hundred times throughout my career as an FPGA engineer; how to check if all bits in a std_logic_vector signal are ‘0’ or ‘1’. Of course, you know a few ways to do it already, but you want to find the most elegant code that will…

Why latches are bad and how to avoid them

Why latches are bad and how to avoid them

A latch is a logic element that can sample and hold a binary value, much like a flip-flop (register). But unlike a flip-flop, which is edge-triggered, the latch is level-triggered.

Operator precedence in VHDL

Operator precedence in VHDL

VHDL groups operators into classes with different precedence levels. Operators are evaluated according to their classes, starting with the highest level. Operators of the same class don’t have any predefined priority. Instead, they are evaluated left to right in the order they appear in the code.

How to create a breathing LED effect using a sine wave stored in block RAM

How to create a breathing LED effect using a sine wave stored in block RAM

I’ve noticed that many of the gadgets that I’ve bought the last couple of years have shifted away from LED blinking to led breathing. Most electronic gizmos contain a status LED whose behavior gives away indications of what’s going on inside of the device. My electric toothbrush flashes an LED when it’s time to recharge…

I acknowledge the positive effect of encapsulation provided by varuables, BUT:

My coding style tends to favour short VHDL files. I,.e. I tend to prefer breaking up my design into small blocks. I seldom have files larger than 300 lines in total.

When the files are small, the benefit of encapsulation is much less.

I agree with you on that. It’s much better to break up a large architecture into a structural module with multiple submodules. The total number of files and lines increases, but so does the readability.

>> If we swap lines 7 and 8 in the original DUAL_PORT_RAM process, it’s broken.

How is it broken? It looks like write-before-read, dual port memory.

Thank you for another interesting and thought-provoking article!

Hello Andrew, that’s a good question! Let’s see what happens if we swap lines 7 and 8 in the DUAL_PORT_RAM process using variables. The listing below is from the Vivado synthesis log. It has mapped the ram_v variable to 192 RAM64M primitives, aka distributed RAM, also known as LUTRAM in Xilinx FPGAs.

Write-before-read in block RAM is only possible on the same port. By that, I mean using the same address and clock. In dual-port RAM, you can have two identical ports with separate clocks, addresses, and a write enable input. Take a look at the example code below. I have implemented one such port that has a wr_en signal that controls if we are writing to the RAM.

The code example is write-first. In the listing below, we can see that Vivado correctly mapped it to a RAMB36 block RAM primitive. Also, take note that it says WRITE_FIRST in the PORT A column, while in the Preliminary Mapping Report in the blog post, it said READ_FIRST in the same column.

I’m going to create a blog post about block RAM to clear up some misunderstandings, and also to educate myself. It’s easy to get confused with all the different configuration options that block RAM have.

>> I’m going to create a blog post about block RAM to clear up some misunderstandings, and also >> to educate myself. It’s easy to get confused with all the different configuration options that >> block RAM have.

Looking forward to that blog post Jonas!

Yes, I probably should have created that article already. It’s just that I currently have 148 future blog posts on my list. ?

Thanks for reminding me.

Vivado has some surprises. I extended your code with byte selectable write enable, which works fine for READ_FIRST

but make it WRITE_FIRST

and Vivado cannot infer the BRAM (even though this type is configurable from the IP tools). It reports

[Synth 8-2914] Unsupported RAM template

Here you have another example of using variables: The two process method from Gaisler https://www.gaisler.com/doc/vhdl2proc.pdf

This method is inline with Ray says. What do you think about it?

Leave a Reply Cancel reply

Your email address will not be published. Required fields are marked *

Notify me of replies to my comment via email

Sequential Statement ---- used in ----> Process
Procedure
Function
Syntax
Rules and Examples
Assignments may be made from signals to variables and vice-versa, providing the types match:
A variable assignment takes effect immediately:
  An equivalent architecture with concurrent signal assignments
A variable assignment may not be given a delay.
A variable in a process can act as a register, if it is read before it has been written to, since it retains its value between sucessive process activations.
Synthesis Issues
Variable assignments are generally synthesisable, providing they use types and operators acceptable to the synthesis tool.

In a "clocked process", each variable which has its value read before it has had an assignment to it will be synthesised as the output of a register.

In a "combinational process", reading a variable before it has had an assignment may cause a latch to be synthesised.

Variables declared in a subprogram are synthesised as combinational logic.

Whats New in '93

In VHDL -93, a variable assignment may have a label:

Stack Exchange Network

Stack Exchange network consists of 183 Q&A communities including Stack Overflow , the largest, most trusted online community for developers to learn, share their knowledge, and build their careers.

Q&A for work

Connect and share knowledge within a single location that is structured and easy to search.

Variables in VHDL

When you declare a variable inside a process in VHDL, if you also initialise it to a certain value, i.e. a 0 or a 1, does this variable's value from previous iterations get 're-initialised' to the value you have given it?

For example every time this process is enabled by the change in the 10MHz clock, does the variable shift be initialised to 1, like the image below?

enter image description here

  • 1 \$\begingroup\$ Maybe you should add a code snippet or so to make the question clear. \$\endgroup\$ –  Mitu Raj Commented Apr 5, 2021 at 12:05
  • 1 \$\begingroup\$ @MituRaj Just added an image \$\endgroup\$ –  David777 Commented Apr 5, 2021 at 12:07
  • 3 \$\begingroup\$ No. Simple answer... \$\endgroup\$ –  user16324 Commented Apr 5, 2021 at 12:49

No, it's an initialization (only for RTL simulation purpose). It will happen only once just before the simulation starts at time 0. The value of the variable, thereafter, depends on what value process drives the variable to, inside its logic (description within begin to end ), every time when the process is triggered by events of Ten_MHz_Clock .

For synthesis, these initial values are ignored by most synthesisers (I have noticed Vivado and Altera synthesisers support them on FPGA platforms), so it may not be a good coding practice for synthesisable and portable RTL, as there is a chance of synthesis-simulation mismatch at netlist level.

Mitu Raj's user avatar

  • 1 \$\begingroup\$ The word "simulation" needs to be highlighted here. For synthesis, initial values are ignored, so there needs to be an explicit reset mechanism. \$\endgroup\$ –  Simon Richter Commented Apr 5, 2021 at 12:37
  • 1 \$\begingroup\$ yea, true...... \$\endgroup\$ –  Mitu Raj Commented Apr 5, 2021 at 12:38
  • \$\begingroup\$ I always thought that the initialization values were for simulation and synthesis, that is very good to know. \$\endgroup\$ –  David777 Commented Apr 5, 2021 at 13:29
  • \$\begingroup\$ So on hardware this 'shift' variable in the snippet above will be initialised as a 0? \$\endgroup\$ –  David777 Commented Apr 5, 2021 at 13:30
  • 1 \$\begingroup\$ No not necessarily. Depends on the tool you use. \$\endgroup\$ –  Mitu Raj Commented Apr 5, 2021 at 13:40

Your Answer

Sign up or log in, post as a guest.

Required, but never shown

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy .

Not the answer you're looking for? Browse other questions tagged vhdl or ask your own question .

  • Featured on Meta
  • We've made changes to our Terms of Service & Privacy Policy - July 2024
  • Announcing a change to the data-dump process
  • Upcoming initiatives on Stack Overflow and across the Stack Exchange network...

Hot Network Questions

  • (Why) didn't the "fountain of justice" flow through Governors-General?
  • How to find the dos.library functions in an Amiga rom?
  • Why try to explain the unexplainable?
  • How do Trinitarians distinguish which person the Bible is referring to throughout the scriptures when the three titles of the Godhead is not used?
  • Drawing perfect square in QGIS
  • Do most aircraft have a ditch button in the case of emergency?
  • Does the throttling profile data for any STS missions exist?
  • How Does Our Current Understanding of QFT Affect Chemistry and Biology?
  • No module named 'setuptools.command.test'
  • What kind of useless Stack Exchange Site is this?
  • How to best handle many breadcrumbs with overflow menu
  • Why did the Hyperbola-1 launch fail in August 2021?
  • User safety when touching tone controls in vacuum tube preamplifier
  • How do I prepare a longer campaign for mixed-experience players?
  • Counting finger motions in reading verse in Parashat Ktoret
  • Is doping still a thing in pro cycling?
  • Any idea what game this picture is from?
  • How to fix values of LANGEVIN_GAMMA in VASP?
  • How to limit the number of files printed by ls and print them in columns like ls prints normally?
  • When non-resident US citizens vote, which state does their vote count for wrt the electoral college?
  • Finding the smallest perfect square whose last 3 digits are the same. How do I do this by hand?
  • Affects of various building materials on balanced transmission line?
  • Confused about different ETFs
  • Is the coulomb unit a constant or does it depend on the wire

vhdl variable assignment in process

  • Stack Overflow for Teams Where developers & technologists share private knowledge with coworkers
  • Advertising & Talent Reach devs & technologists worldwide about your product, service or employer brand
  • OverflowAI GenAI features for Teams
  • OverflowAPI Train & fine-tune LLMs
  • Labs The future of collective knowledge sharing
  • About the company Visit the blog

Collectives™ on Stack Overflow

Find centralized, trusted content and collaborate around the technologies you use most.

Q&A for work

Connect and share knowledge within a single location that is structured and easy to search.

Get early access and see previews of new features.

Assigning a signal to variable and a variable to a signal

I am new to VHDL and after I read through a lot of tutorials, I am now getting my feet wet. Here is a code example which troubles me. The trade_cell entity gets in a signed signal n which is assigned to a variable abs_n after getting the absolute. The result then is assigned to the signal amount for output.

Everytime I simulate this, amount is set to X. What am I missing here?

With friendly regards, RincewindWizzard

RincewindWizzard's user avatar

  • Your code doesn't analyze, there's an extra semicolon after the last port declaration and you have extraneous sensitivity list elements that aren't declared. Fixing those two things and your code analyzes. –  user1155120 Commented May 20, 2016 at 10:10

Your problem is that this line:

is initialising the variable abs_n once at the beginning of the simulation (technically during elaboration ). At this time, the signal n will have the value 'U' and abs('U') will be 'X' , so the variable abs_n is initialised with the value 'X' and never assigned any value after that.

So, instead of:

I assume you have pared down the code to make an MCVE , which is why there are many other signals in the sensitivity list of the process trader . If not, you only need the inputs to that process in the sensitivity list (in this case just n ).

Community's user avatar

  • 1 Minor typo with two := 's. ;) –  PlayDough Commented May 20, 2016 at 19:46

Your Answer

Reminder: Answers generated by artificial intelligence tools are not allowed on Stack Overflow. Learn more

Sign up or log in

Post as a guest.

Required, but never shown

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy .

Not the answer you're looking for? Browse other questions tagged vhdl or ask your own question .

  • Featured on Meta
  • Announcing a change to the data-dump process
  • We've made changes to our Terms of Service & Privacy Policy - July 2024

Hot Network Questions

  • Does the throttling profile data for any STS missions exist?
  • Do I have legal grounds against a book by an ex which depicts private details of my life, including some false events, without permission?
  • What are the not-winglet wingtips on the CL-415?
  • Short story where only women are able to do intergalactic travel
  • NSF grant: entire budget is just 1 person's salary
  • Are elements above 137 possible?
  • How to fix values of LANGEVIN_GAMMA in VASP?
  • Intersection of integral points with a unipotent and its opposite
  • Why are quadratic residues more interesting than cubic residues?
  • Drawing perfect square in QGIS
  • When non-resident US citizens vote, which state does their vote count for wrt the electoral college?
  • Why use a MOSFET and a BJT in this simple circuit?
  • How to limit the number of files printed by ls and print them in columns like ls prints normally?
  • Table saw trips circuit breaker
  • What concerns are there with soldering stainless steel cable on electronics?
  • What is a Primordial binary?
  • Finding the smallest perfect square whose last 3 digits are the same. How do I do this by hand?
  • Why try to explain the unexplainable?
  • Three up to 800 & sum to 2048
  • Is there any link between PDE and Ergodic theory?
  • What kind of navy could island nations with populations in the few 100k range build to fight eachother in a 1890s-1920s century naval technology?
  • How do I prepare a longer campaign for mixed-experience players?
  • Integrated Graphl QL query does not return child items
  • Represent and evaluate an infinite expression

vhdl variable assignment in process

IMAGES

  1. VHDL Processes

    vhdl variable assignment in process

  2. What is a VHDL process? (Part 1)

    vhdl variable assignment in process

  3. Verhdl

    vhdl variable assignment in process

  4. VHDL 101

    vhdl variable assignment in process

  5. 4. Sequential statement

    vhdl variable assignment in process

  6. PPT

    vhdl variable assignment in process

VIDEO

  1. VHDL Operators

  2. Conditional and selected signal assignment statements

  3. Getting Started with VHDL P10 Signals Example

  4. Process statement

  5. Emacs-like VHDL stutter mode in VSCode

  6. Student Project 3 Variable-Speed FPGA Counter

COMMENTS

  1. Variables

    Variables and signals show a fundamentally different behavior. In a process, the last signal assignment to a signal is carried out when the process execution is suspended. Value assignments to variables, however, are carried out immediately. To distinguish between a signal and a variable assignment different symbols are used: '⇐ ...

  2. VHDL Reference Guide

    A variable assignment may not be given a delay. A variable in a process can act as a register, if it is read before it has been written to, since it retains its value between sucessive process activations. process (CLK) variable Q : std_ulogic; begin. if CLK'event and CLK='1' then. PULSE <= D and not(Q); Q := D; -- PULSE and Q act as registers.

  3. vhdl

    I have made vhdl code myself where variables are wires, and signals are latches. Examples: signal x,y,clk; process(clk) begin x <= y end process. ... A signal assignment inside a process will disregard other signal assignments made in the same process "instantiation". Also, for the same signal, only the last assignment will be taken into ...

  4. The Variable: A Valuable Object in Sequential VHDL

    This description uses sequential statements. The connection between the process black box and the outside world is achieved through the signals. The process may read the value of these signals or assign a value to them. So VHDL uses signals to connect the sequential part of the code to the concurrent domain.

  5. Variable

    Variables in VHDL act similarly to variables in C. Their value is valid at the exact location in the code where the variable is modified. Therefore, if a signal uses the value of the variable before the assignment, it will have the old variable value. If a signal uses the value of the variable after the assignment it will have the new variable ...

  6. VHDL Tutorial

    Variables are modified with the variable assignment. For example, a:=b; assigns the value of b to a. The value is simply copied to a immediately. Since variables may only be used in processes, the assignment statement may only appear in a process. The assignment is performed when the process is executed, as explained in the last section.

  7. vhdl

    Without any further assignments to sel it's initial value will be the that will be the converted initial value of sel_sv. Add a variable assignment statement for sel before the case statement with the expression currently used as the initial value as the right hand side expression. The initial value would be redundant.

  8. Process statements and sequential execution in VHDL

    4,022. Add a comment. In VHDL, statements in process execute sequentially. As you mentioned a, b, c and d are signals (if they were variables, they had different manner). assume these statements in process: a <= b; c <= a; At the end of the process old value of b assigned to a. and old value of a assigned to c.

  9. Difference between Blocking and Non-Blocking assignment in VHDL

    However, variable assignments are always local to a process, and hence, we don't need to worry about that set of race conditions. In addition, variables never have a delay. Signals update either after a simulation cycle or a specified delay. So perhaps this is a little like Verilog's non-blocking assignment. The delay in VHDL only applies to ...

  10. VHDL Reference Guide

    In VHDL-93, shared variables may be declared within an architecture, block, generate statement, or package: shared variable variable_name : type; Shared variables may be accessed by more than one process. However, the language does not define what happens if two or more processes make conflicting accesses to a shared variable at the same time.

  11. Using variables for registers or memory in VHDL

    In this example, I've created a VHDL process for inferring a dual-port RAM. The width and depth match a configuration of the Xilinx RAMB36E1 primitive, as shown on page 30 of the 7 Series FPGAs Memory Resources user guide. The code below shows the VHDL process. We store the values in the ram_v object, which is a regular variable.

  12. VHDL Online Help

    The variable assignment statement modifies the value of the variable. The new value of the variable is obtained by assigning an expression to this variable. In order to distinguish variable assignment from signal assignment, the variable assignment symbol is different (:=). The expression assigned to a variable must give results of the same ...

  13. VHDL Use of variables vs signals inside a process

    For example, using a variable in a combinational process, make sure you ALWAYS write it before reading, otherwise it infers storage. In a clocked process, this is a (safe) register, but in a combi process it's a latch, with difficult semantics, tangled up with the sensitivity list. - user16324. Aug 27, 2015 at 10:48.

  14. VHDL Reference Guide

    In VHDL-93, a variable assignment may have a label: label: variable_name := expression; VHDL -93 supports shared variables which may be accessed by more than one process.However, the language does not define what happens if two or more processes make conflicting accesses to a shared variable at the same time.

  15. Multiple assignments in CASE statement in VHDL

    For assign to multiple signals in one statement, the VHDL-2008 supports aggregate assignment, so if you are using VHDL-2008, you can write: WHEN "10" =>. (output3, output2, output1, output0) <= std_logic_vector'("0100"); For VHDL-2003, a solution may be to create an intermediate output signal as std_logic_vector, and then assign to this.

  16. vhdl

    If both designs work, it is mostly out of luck, because in this case Out_signal simply lags half a clock cycle when declared inside the process. Out_signal is assigned when the process triggers, which in this case occurs on rising and falling edges of clk. At the rising edge, it will grab the previous values of signal1 / signal2, but at the ...

  17. vhdl

    2. I have often wondered why a VHDL variable can be declared both on the process as well as the architecture (as shared) level, while a signal can only be declared on the architecture level - even if it is just used in the scope of a single process. Declaring things (e.g. signals or variable s) in a scope as narrow as possible and as close to ...

  18. Variable assignment inside a VHDL process in Synthesis

    Hi all, I'm a newbie in VHDL design. I have a doubt regarding different assignations to the same variable inside a process in a post-synthesized design. For example the next code snippet: --previous code. p1 : process (clk,reset) variable a : std_logic; variable b : std_logic; begin. a := '1'. --some code here that modifies b variable.

  19. Variables in VHDL

    1. When you declare a variable inside a process in VHDL, if you also initialise it to a certain value, i.e. a 0 or a 1, does this variable's value from previous iterations get 're-initialised' to the value you have given it? For example every time this process is enabled by the change in the 10MHz clock, does the variable shift be initialised ...

  20. vhdl

    VHDL and hardware programming in general is ridiculously parallel, from one iteration to the next the process is completely independent of all other processes. Now, looking at your code I see what looks like what you want to accomplish, and this is a perfect example of why you should know a little bit of scripting in another language to help ...

  21. vhdl

    Your problem is that this line: variable abs_n : signed(31 downto 0) := abs(n); is initialising the variable abs_n once at the beginning of the simulation (technically during elaboration ). At this time, the signal n will have the value 'U' and abs('U') will be 'X', so the variable abs_n is initialised with the value 'X' and never assigned any ...